Google
WWW
thinfilmmfg.com

2 April 2002

Advanced light sources balance power, bandwidth

A modern microlithography exposure system is an engineering masterpiece. Optical elements, meticulously assembled like diabolically precise puzzle pieces, hang from a frame that isolates them from even the tiniest vibration. Wafer and reticle stages glide into place like partners in a dance. A single beam of light ties together the reticle, lens, and wafer, creating the fine tracery of the aerial image.

The source of that light, the laser, is far less glamorous. Yet without it, the exposure system is just an expensive pile of precision machining. As lithographers try to resolve every last nanometer while maintaining reasonable throughput, the light source plays a critical role.

Cymer proposes dual chamber laser

In an excimer laser, a powerful electric discharge forces an inert gas--such as argon--and a halogen gas--like fluorine-to combine, creating excited dimers. These dimers relax to the ground state, emitting photons. In a laser, the photons resonate back and forth within a reflective cavity, stimulating other dimers to release photons at the same wavelength and polarity.

Here the parallel demands of throughput and resolution come into conflict. The throughput of an exposure system depends on the rate at which it can deliver the required number of photons-the exposure dose-to the photoresist. To increase throughput, the laser must either deliver a higher pulse rate or create more powerful pulses. Increasing the pulse rate beyond 4 kHz is difficult because each pulse represents an intense power drain.

Creating more powerful pulses also forces laser designers to confront new problems. The light emitted from a laser is not a square wave, but a Gaussian distribution centered at the laser's characteristic wavelength. Increasing the peak power tends to broaden the spectral distribution.

Advanced lithography, particularly at the 193 nm wavelength, requires a narrow spectral bandwidth. All lens materials have some degree of chromatic aberration: they refract different wavelengths differently, and will focus different wavelengths to slightly different points. Large numerical aperture lenses, required for maximum resolution, focus rays through larger angles relative to the optical axis. Large focus angles multiply the effects of even small chromatic aberrations.

A narrow bandwidth laser reduces the wavelength variation in the light source, diminishing the impact of chromatic aberration. It can improve the ultimate resolution of the system, or can give lens designers more focal latitude. For example, calcium fluoride optics suffer less chromatic aberration at 193 nm than fused silica does, but are expensive and introduce new problems like intrinsic birefringence. Narrow bandwidth lasers reduce the need for calcium fluoride optics in 193 nm exposure systems.

To increase pulse power while maintaining narrow spectral bandwidth, Cymer turned to a new laser concept. The MOPA approach, introduced at this year's SPIE Microlithography conference, combines two different laser chambers in series. The first, the master oscillator (MO), is optimized to produce very narrow bandwidth pulses at low energy. Photons from the MO chamber then pump the power amplifier (PA) chamber. Since the MO chamber stimulates photon emissions in the PA chamber, the PA chamber itself can be optimized for efficient power generation.

According to Nigel Farrar, Cymer's director of lithography applications, the company's pulse power technology is able to synchronize pulses in both chambers. Both chambers are excimer lasers, built around Cymer's existing laser technology. Since the system supplies increased power at a constant pulse rate, it should integrate directly into existing processes. The company has not yet announced specific products based on the MOPA platform. It expects to ship the first such systems by the middle of 2003.

Back to top

EUV sources fight for efficiency

The same need to maximize throughput appears in a somewhat different guise in extreme ultraviolet exposure systems. Even the best EUV optics are only about 70% efficient. In a four element reflector system, only about a quarter of the light supplied by the illuminator will emerge at the wafer plane. For production lithography, the source must supply between 50 and 150 watts of power to achieve reasonable throughputs.

Obtaining that much EUV power is difficult. The13 nm photons are collected from the emission of a plasma source. One approach, laser-produced plasma, creates the plasma by bombarding a metal or glass target with high power laser light. For example, TRW is building a source that will use three 1500 watt lasers to produce just 25 watts of output power, an efficiency of only about 0.6%.

Furthermore, the emission from an LPP source is distributed through a full 360 degree sphere around the plasma. It is very difficult to design a collector optic that will trap the full sphere of radiation.

A further problem with LPP sources is inherent in their design. A 1500 watt laser is a large, complex, and expensive device. Even fab owners who are resigned to the high costs of EUV lithography are likely to blanch at the idea of dedicating a dozen of them (the minimum needed to produce 100 watts of usable power) to a single exposure system.

An alternative source design, the dense plasma focus, depends on a high voltage discharge to excite xenon gas. The gas flows between two electrodes, confining the EUV emission to that relatively small region. Farrar expects that the DPF design will allow a larger fraction of the emitted photons to actually reach the collector.

Debris will reach the collector as well. Both LPP and DPF sources produce debris. DPF sources are likely to produce more of it, however, as the electrodes erode under the combined effects of voltage and plasma. Farrar explained that Cymer is investigating both debris traps and disposable collector elements.

It's not yet clear what the spectral purity requirements for EUV sources will be. At present, Farrar said, only about half of the light emitted from Cymer's DPF source falls within 10% of the desired source wavelength. Clearly the technology has a long way to go.

Back to top

 

This site is Copyright ©2001-2002 by Thin Film Manufacturing. All Rights Reserved